Performance Estimation of Synthesis Flows cross Technologies using LSTMs and Transfer Learning

  • 2018-11-14 19:17:14
  • Cunxi Yu, Wang Zhou
  • 24

Abstract

Due to the increasing complexity of Integrated Circuits (ICs) andSystem-on-Chip (SoC), developing high-quality synthesis flows within a shortmarket time becomes more challenging. We propose a general approach thatprecisely estimates the Quality-of-Result (QoR), such as delay and area, ofunseen synthesis flows for specific designs. The main idea is training aRecurrent Neural Network (RNN) regressor, where the flows are inputs and QoRsare ground truth. The RNN regressor is constructed with Long Short-Term Memory(LSTM) and fully-connected layers. This approach is demonstrated with 1.2million data points collected using 14nm, 7nm regular-voltage (RVT), and 7nmlow-voltage (LVT) FinFET technologies with twelve IC designs. The accuracy ofpredicting the QoRs (delay and area) within one technology is$\boldsymbol{\geq}$\textbf{98.0}\% over $\sim$240,000 test points. To enableaccurate predictions cross different technologies and different IC designs, wepropose a transfer-learning approach that utilizes the model pre-trained with14nm datasets. Our transfer learning approach obtains estimation accuracy$\geq$96.3\% over $\sim$960,000 test points, using only 100 data points fortraining.

 

Quick Read (beta)

loading the full paper ...